How to use Verilog HDL on Ubuntu?

Does this post help: Verilog and VHDL on Linux (Ubuntu)?

It recommends a combined use of Icarus Verilog (iverilog in repositories) for Verilog simulation, GHDL for VHDL simulation, and GTKWave (gtkwave in repositories) for waveform viewing.

If you want an Eclipse-based Verilog editor, try veditor.


You can download SynpatiCAD's Linux Verilog simulator which is Ubuntu compatible. It includes a command line simulator and a graphical IDE. After you install it, you can run the tool and request a free 6 month license for the simulator.


sudo apt-get install iverilog;

sudo apt-get install gtkwave;

To see if everything is working correctly, lets do the hello-world of verilog.

nano hello.v

then write the following hello-world code

    module main;

initial
    begin
    $display("Hello world");
    $finish;
    end

endmodule

Then compile

iverilog hello.v -o hello

and finally run your code

vvp hello

Tags:

Programming